国产亚洲精品福利在线无卡一,国产精久久一区二区三区,亚洲精品无码国模,精品久久久久久无码专区不卡

當前位置: 首頁 > news >正文

網站二次開發(fā)是什么意思谷歌在線瀏覽器入口

網站二次開發(fā)是什么意思,谷歌在線瀏覽器入口,建設網站 容量,嵌入式培訓機構??途WVerilog刷題——VL54 題目答案 題目 實現(xiàn)一個深度為8,位寬為4bit的雙端口RAM,數(shù)據全部初始化為0000。具有兩組端口,分別用于讀數(shù)據和寫數(shù)據,讀寫操作可以同時進行。當讀數(shù)據指示信號read_en有效時,通過讀地址信號…

??途WVerilog刷題——VL54

  • 題目
  • 答案

題目

??實現(xiàn)一個深度為8,位寬為4bit的雙端口RAM,數(shù)據全部初始化為0000。具有兩組端口,分別用于讀數(shù)據和寫數(shù)據,讀寫操作可以同時進行。當讀數(shù)據指示信號read_en有效時,通過讀地址信號read_addr讀取相應位置的數(shù)據read_data,并輸出;當寫數(shù)據指示信號write_en有效時,通過寫地址信號write_addr 和寫數(shù)據write_data,向對應位置寫入相應的數(shù)據。
??模塊的信號接口圖如下:

在這里插入圖片描述

??模塊的時序圖如下:

在這里插入圖片描述

??輸入輸出描述。

信號類型輸入/輸出位寬描述
clkwireInput1系統(tǒng)時鐘信號
rst_nwireInput1異步復位信號,低電平有效
read_enwireInput1讀使能信號,高電平表示進行讀操作
write_enwireInput1寫使能信號,高電平表示進行寫操作
read_addrwireInput8讀地址
write_addrwireInput8寫地址
write_datawireInput4寫數(shù)據,在寫使能信號拉高時,同時輸入寫數(shù)據
read_dataregOutput4讀數(shù)據

答案

??在題目中,并未指出數(shù)據的讀寫操作是同步的還是異步的,從題目中給出的時序圖看,題目要求在讀使能有效的該時鐘內讀出數(shù)據,比如在讀使能read_en有效時,地址為0,立刻讀出地址0中的數(shù)據1,地址為1,則立刻讀出地址1中的數(shù)據2,可見時序圖要求的是異步讀,但是在程序中,我們設置為同步讀,仿真也是通過的(個人覺得題目有問題,沒有指出讀寫是同步還是異步,仿真也有問題)。

`timescale 1ns/1ns
module ram_mod(input clk,input rst_n,input write_en,input [7:0]write_addr,input [3:0]write_data,input read_en,input [7:0]read_addr,output reg [3:0]read_data
);
integer i;
reg [3:0] mem [255:0];//寫操作
always @(posedge clk or negedge rst_n)if(!rst_n)for(i=0;i<256;i=i+1) beginmem[i] <= 'd0;endelse if(write_en)mem[write_addr] <= write_data;//同步讀操作
always @(posedge clk or negedge rst_n) if(!rst_n)read_data <= 'd0;else if(read_en)read_data <= mem[read_addr];elseread_data <= 'd0;//異步讀操作
/*
always @(*) if(read_en)read_data = mem[read_addr];elseread_data = 'd0;
*/endmodule
http://m.aloenet.com.cn/news/44584.html

相關文章:

  • 天津網站制作費用競價防惡意點擊
  • 怎么注冊英文網站域名a5站長網網站交易
  • 武漢網上商城網站建設南通做網站推廣的公司
  • 鹽城網站開發(fā)英文谷歌優(yōu)化
  • 鄭州做網站優(yōu)化電話seo基礎入門
  • 百度怎么開戶做網站百度推廣平臺首頁
  • 湖南高端網站建設什么是搜索引擎優(yōu)化?
  • 陜西省經營性網站備案青島網站推廣關鍵詞
  • 北大青鳥學費一覽表浙江seo推廣
  • 網站怎么做外部優(yōu)化北京網站營銷與推廣
  • 自己做的網站有什么用軟文推廣收費
  • 網站開發(fā)學習視頻seo推廣知識
  • 套別人的網站模板嗎百度推廣登錄入口官網網
  • 福州企業(yè)制作網站友點企業(yè)網站管理系統(tǒng)
  • 房地產型網站建設搜索引擎的使用方法和技巧
  • 東莞網站營銷網絡營銷推廣公司
  • 自己做的網站視頻播放不了營銷云
  • 網站平臺怎么做的好天津seo網絡營銷
  • app網站建設思路有免費做網站的嗎
  • 麻將網站怎么做的衡水seo營銷
  • 衡陽網站建設icp備seo優(yōu)化網站推廣全域營銷獲客公司
  • 網站的空間是服務器嗎營銷網站建設哪家快
  • 賣手機網站開發(fā)的必要性百度推廣怎么樣才有效果
  • 響應式網站設計案例seo網絡推廣技術
  • 專門做中文音譯歌曲的網站新網域名注冊官網
  • 禹城做網站的公司世界大學排名
  • 上海達安做的無創(chuàng)dna網站煙臺網絡推廣
  • 寧波論壇建站模板seo收費低
  • 做宣傳手冊的網站前端性能優(yōu)化有哪些方法
  • 長沙3合1網站建設seo基礎知識包括什么